NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints

The IEEE 1500 standard wrapper requires that its inputs and outputs be interfaced directly to the chip's primary inputs and outputs for controllability and observability. This is typically achieved by providing a dedicated Test Access Mechanism (TAM) between the wrapper and the primary inputs a...

Full description

Saved in:
Bibliographic Details
Main Authors: Hussin, Fawnizu Azmadi, Yoneda, Tomokazu, Fujiwara, Hideo
Format: Article
Published: Institute of Electronics, Information and Communication Engineers, Japan (IEICE) 2008
Subjects:
Online Access:http://eprints.utp.edu.my/3595/1/fawnizu_ieice3-revised.pdf
http://www.ieice.org/eng/books/trans.html
http://eprints.utp.edu.my/3595/
Tags: Add Tag
No Tags, Be the first to tag this record!
id my.utp.eprints.3595
record_format eprints
spelling my.utp.eprints.35952017-01-19T08:26:12Z NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints Hussin, Fawnizu Azmadi Yoneda, Tomokazu Fujiwara, Hideo TK Electrical engineering. Electronics Nuclear engineering The IEEE 1500 standard wrapper requires that its inputs and outputs be interfaced directly to the chip's primary inputs and outputs for controllability and observability. This is typically achieved by providing a dedicated Test Access Mechanism (TAM) between the wrapper and the primary inputs and outputs. However, when reusing the embedded Network-on-Chip (NoC) interconnect instead of the dedicated TAM, the standard wrapper cannot be used as is because of the packet-based transfer mechanism and other functional requirements by the NoC. In this paper, we describe two NoC-compatible wrappers, which overcome these limitations of the 1500 wrapper. The wrappers (Type 1 and Type 2) complement each other to optimize NoC bandwidth utilization while minimizing the area overhead. The Type 2 wrapper uses larger area overhead to increase bandwidth efficiency, while Type 1 takes advantage of some special configurations which may not require a complex and high-cost wrapper. Two wrapper optimization algorithms are applied to both wrapper designs under channel-bandwidth and test-time constraints, resulting in very little or no increase in the test application time compared to conventional dedicated TAM approaches. Institute of Electronics, Information and Communication Engineers, Japan (IEICE) 2008-07 Article PeerReviewed application/pdf http://eprints.utp.edu.my/3595/1/fawnizu_ieice3-revised.pdf http://www.ieice.org/eng/books/trans.html Hussin, Fawnizu Azmadi and Yoneda, Tomokazu and Fujiwara, Hideo (2008) NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints. Transactions on Information and Systems, Volume (Issue ). pp. 2008-2017. ISSN 0916-8532 http://eprints.utp.edu.my/3595/
institution Universiti Teknologi Petronas
building UTP Resource Centre
collection Institutional Repository
continent Asia
country Malaysia
content_provider Universiti Teknologi Petronas
content_source UTP Institutional Repository
url_provider http://eprints.utp.edu.my/
topic TK Electrical engineering. Electronics Nuclear engineering
spellingShingle TK Electrical engineering. Electronics Nuclear engineering
Hussin, Fawnizu Azmadi
Yoneda, Tomokazu
Fujiwara, Hideo
NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints
description The IEEE 1500 standard wrapper requires that its inputs and outputs be interfaced directly to the chip's primary inputs and outputs for controllability and observability. This is typically achieved by providing a dedicated Test Access Mechanism (TAM) between the wrapper and the primary inputs and outputs. However, when reusing the embedded Network-on-Chip (NoC) interconnect instead of the dedicated TAM, the standard wrapper cannot be used as is because of the packet-based transfer mechanism and other functional requirements by the NoC. In this paper, we describe two NoC-compatible wrappers, which overcome these limitations of the 1500 wrapper. The wrappers (Type 1 and Type 2) complement each other to optimize NoC bandwidth utilization while minimizing the area overhead. The Type 2 wrapper uses larger area overhead to increase bandwidth efficiency, while Type 1 takes advantage of some special configurations which may not require a complex and high-cost wrapper. Two wrapper optimization algorithms are applied to both wrapper designs under channel-bandwidth and test-time constraints, resulting in very little or no increase in the test application time compared to conventional dedicated TAM approaches.
format Article
author Hussin, Fawnizu Azmadi
Yoneda, Tomokazu
Fujiwara, Hideo
author_facet Hussin, Fawnizu Azmadi
Yoneda, Tomokazu
Fujiwara, Hideo
author_sort Hussin, Fawnizu Azmadi
title NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints
title_short NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints
title_full NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints
title_fullStr NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints
title_full_unstemmed NoC-Compatible Wrapper Design and Optimization under Channel-Bandwidth and Test-Time Constraints
title_sort noc-compatible wrapper design and optimization under channel-bandwidth and test-time constraints
publisher Institute of Electronics, Information and Communication Engineers, Japan (IEICE)
publishDate 2008
url http://eprints.utp.edu.my/3595/1/fawnizu_ieice3-revised.pdf
http://www.ieice.org/eng/books/trans.html
http://eprints.utp.edu.my/3595/
_version_ 1738655275554963456
score 13.19449