Design Of Fpga Address Register In 28nm Process Technology Based On Standard Cell Based Approach

Secara tradisinya, “Field Programmable Gate Array” (FPGA) “Address Register” (AR) direka menggunakan “full custom”. Dengan keadaan geometri yang mengecut pada awal proses nod, maka keperluan untuk menimbang semula pendekatan reka bentuk yang digunakan untuk mereka bentuk FPGA AR diperlukan kerana...

Full description

Saved in:
Bibliographic Details
Main Author: Chew , Ming Choo
Format: Thesis
Language:English
Published: 2013
Subjects:
Online Access:http://eprints.usm.my/40694/1/Design_Of_Fpga_Address_Register_In_28nm_Process_Technology_Based_On_Standard_Cell_Based_Approach.pdf
http://eprints.usm.my/40694/
Tags: Add Tag
No Tags, Be the first to tag this record!